帮忙分析下vHdl程序中(5 DOWNTO 0); 表示什么 怎么来的ARCHITECTURE Behavioral OF FIFO ISTYPE fifo_array IS ARRAY(0 TO 63) OF STD_LOGIC_VECTOR(7DOWNTO 0);—定义长为64宽为8的数组类型 SIGNAL fifo_memory :fifo_array; —定义FIF

来源:学生作业帮助网 编辑:作业帮 时间:2024/05/01 21:35:42
帮忙分析下vHdl程序中(5 DOWNTO 0); 表示什么 怎么来的ARCHITECTURE Behavioral OF FIFO ISTYPE fifo_array IS ARRAY(0 TO 63) OF STD_LOGIC_VECTOR(7DOWNTO 0);—定义长为64宽为8的数组类型 SIGNAL fifo_memory :fifo_array; —定义FIF

帮忙分析下vHdl程序中(5 DOWNTO 0); 表示什么 怎么来的ARCHITECTURE Behavioral OF FIFO ISTYPE fifo_array IS ARRAY(0 TO 63) OF STD_LOGIC_VECTOR(7DOWNTO 0);—定义长为64宽为8的数组类型 SIGNAL fifo_memory :fifo_array; —定义FIF
帮忙分析下vHdl程序中(5 DOWNTO 0); 表示什么 怎么来的
ARCHITECTURE Behavioral OF FIFO IS
TYPE fifo_array IS ARRAY(0 TO 63) OF STD_LOGIC_VECTOR(7
DOWNTO 0);
—定义长为64宽为8的数组类型
SIGNAL fifo_memory :fifo_array; —定义FIFO的储存介质;
SIGNAL full_flag :STD_LOGIC; —内部满标志信号;
SIGNAL empty_flag :STD_LOGIC; —内部空标志信号
SIGNAL read_addr :STD_LOGIC_VECTOR(5 DOWNTO 0); —读地址
SIGNAL write_addr :STD_LOGIC_VECTOR(5 DOWNTO 0); —写地址

帮忙分析下vHdl程序中(5 DOWNTO 0); 表示什么 怎么来的ARCHITECTURE Behavioral OF FIFO ISTYPE fifo_array IS ARRAY(0 TO 63) OF STD_LOGIC_VECTOR(7DOWNTO 0);—定义长为64宽为8的数组类型 SIGNAL fifo_memory :fifo_array; —定义FIF
SIGNAL write_addr :STD_LOGIC_VECTOR(5 DOWNTO 0);
声明了一组信号,信号名称write_addr,信号类型STD_LOGIC_VECTOR,这个信号的类型是个std_logic数组,它的下标变化范围是(5 DOWNTO 0),也就是说,有6位std_logic类型构成的数组.
说的白一些,就是信号(写地址)write_addr有6根地址线,名称是write_addr(5)~write_addr(0).
信号read_addr也类似.